新思推出業界最先進的USB4接口方案:40Gbps速度、5nm工藝

2020-11-21 驅動之家

提到USB 3.1/3.2接口,USB-IF組織在這兩代接口命名上的混亂真是罄竹難書,好在新一代的USB4中問題解決了。新思科技日前宣布推出業界最先進的USB4解決方案DesignWare USB4 IP,還是5nm工藝的。

USB4標準於20199月正式公布,與以往版本最大不同就是完全基於Intel開放出來的雷電協議,帶寬因此再次翻番到40Gbps,同時還兼容USB4DisplayPort 1.4a TXPCI ExpressThunderbolt 3(雷電3),並且物理接口也改為USB-C,一個接口搞定數據、視頻及供電,太完美了。

開發USB4接口需要強大的IP核心,新思科技現在推出的DesignWare USB4 IP號稱是業界最先進、最完整的,整合了控制器、路由器、PHY和驗證IP,路由器IP構建了所有支持協議之間的有效連接,並與USB4控制器IP一起進行了數百萬個CPU模擬小時仿真的預先驗證,確保長期運行下的性能和互操作性。

更重要的是,這顆USB4 IP晶片使用的還是5nm工藝,證明了該IP在不同範圍工藝、電壓和溫度下的穩定性。

新的DesignWare USB4 IP旨在滿足各種存儲、PC和平板電腦晶片設計的功能、電源、性能和尺寸要求,並可在邊緣設備上實現人工智慧(AI)應用的軟體開發調試和輕鬆部署。

目前新思的DesignWare USB4 IP已經交付給客戶驗證,但是這個接口肯定不會很快普及,AMD及Intel的平臺支持還得到下一代,AMD的要等Zen4架構才有戲,差不多要到2022年了,Intel也差不多。

相關焦點

  • 業界首款USB4 IP發布!5nm工藝
    -成功流片的5nm DesignWare USB4 PHY測試晶片可以降低支持40Gbps USB規格的SOC開發風險,加快晶片上市時間。3連接協議 新的USB4路由器IP可傳輸USB、PCIe和DisplayPort協議數據,同時優化了帶寬 高達20或40 Gbps的吞吐量為高性能邊緣AI、存儲、PC和平板電腦晶片設計提供了所需的帶寬 新思科技今天宣布推出業界首款完整的DesignWare USB4 IP 解決方案,該解決方案由控制器
  • 新思科技和中芯國際推出40納米低漏電工藝
    通過提供應用於中芯國際先進低功耗工藝的多樣化的和經過驗證的IP,新思科技和中芯國際將使設計師能夠以更小的風險和更短的上市時間在其系統級晶片上集成更多的功能。自2005年以來,通過新思科技與中芯國際的合作,新思科技帶來了一個豐富的IP產品組合,可支持中芯國際從0.13微米到40納米的工藝技術。
  • 新思科技與Socionext擴大合作,將5nm工藝HBM2E IP部署於AI和高性能...
    新思科技的HBM2E IP運行速度為3.6Gbps,能夠滿足Socionext創新AI引擎和加速器片上系統(SoC)對於容量、功耗和計算性能的嚴苛要求。新思科技的IP提供了高效的異構集成和最短的2.5D中介層封裝連接。
  • 新思科技:先進工藝挑戰下,IC設計企業如何快速成功流片
    集微網消息,10月30日,2020 中國(深圳)集成電路峰會召開,新思科技技術支持副總監湯木明發表《先進工藝挑戰之縮小設計期望和實現間的落差》的演講,介紹新思科技的先進技術和方法論如何協助晶片設計企業快速流片。對於先進工藝,湯木明表示:「近期國內特別關注先進工藝,而先進工藝下從設計到最終實現的性能指標,通常會有一個很漫長的過程才能達到最終想要的狀態。
  • 新思科技與臺積電合作進行5納米工藝技術認證
    新思科技(Synopsys, Inc.)近日宣布其數字與定製設計平臺的數十項創新功能已獲得高性能計算(HPC)和移動晶片設計所必需的臺積電最先進5nm工藝技術認證。除了高性能計算和移動晶片設計流程認證外,新思科技設計工具還獲得臺積電業界領先的N5P和N6工藝技術認證,為早期客戶設計工作提供支持。臺積電設計基礎設施管理部門高級總監Suk Lee表示:「我們與新思科技的密切合作確保了良好的設計流程,以幫助客戶應對高性能計算和移動設計日益複雜的要求,並實現5納米工藝的流片創新。
  • 新思科技擴展DesignWare MIPI IP產品組合 推出適用於FinFET工藝的...
    DesignWare C-PHY/D-PHY通過支持低功率狀態模式並以24 Gb/s的速度提供低於1.3pJ/bit的功耗來滿足能量要求。該IP支持4K及以上顯示器和1億像素相機,在D-PHY配置中支持每通道4.5 Gb/s的最大速度,在C-PHY配置中支持每trio(3線)3.5 Gs/s的速度。
  • 乘風破浪的USB Type-C,年底將迎來USB4版本
    通用串行總線(Universal Serial Bus,簡稱USB)可能是這個世界上最通用的接口之一。它最初是由英特爾與微軟倡導發起,最大的特點是儘可能實現熱插拔和即插即用。
  • 乘風破浪的USB Type-C,年底將迎來USB4版本
    通用串行總線(Universal Serial Bus,簡稱USB)可能是這個世界上最通用的接口之一。它最初是由英特爾與微軟倡導發起,最大的特點是儘可能實現熱插拔和即插即用。USB接口自1994年推出以來,經過26年的發展,經過USB 1.0/1.1、USB2.0、USB 3.x,最終發展到了現在的USB4;傳輸速率也從最開始的1.5Mbps,大幅提高到了最新的40Gbps。
  • 新思科技提供基於臺積公司5奈米製程的廣泛IP組合,加速高性能計算...
    接口PHY IP包括112G/56G Ethernet、Die-to-Die、PCIe 5.0、CXL、CCIX和內存接口IP,能夠支持最高速率 高性能內存接口IP包括DDR5、LPDDR5和HBM2/2E的解決方案,提供最大的內存帶寬和帶寬效率 寬並行總線技術為112G USR/XSR連接和高帶寬互聯的Die-to-Die PHY提供非常低延遲的可靠連結
  • 新思三星攜手推出3nm GAA的全套設計方法和流程
    3nm全環柵(GAA)工藝技術   AMS設計參考流程提供了用於3nm模擬/混合信號設計的完整方法(包括用於設計、版圖、可靠性分析和籤核的流程) 新思科技定製設計平臺可為三星3nm GAA設計提供最佳的生產率,以及可縮短模擬設計閉合時間的創新功能
  • 新思科技攜手英特爾打造業界首個5.0 IP互操作性系統
    :  此種互操作性可在新思科技DesignWare IP與未來的英特爾至強可擴展處理器之間建立端到端32GT/s PCIe 5.0連結  作為PCI Express 5.0的完整解決方案,DesignWare IP可優化短通道和長通道的功耗,從而提供業界最低的延遲和最高的吞吐量  未來的英特爾
  • USB4全面兼容Thunderbolt 3接口
    自從USB4全面兼容Thunderbolt 3接口發布後,Thunderbolt 3最近在線纜行業提到的次數越來越頻繁,而最新雷電4的消息再次將雷電接口刷頻,雷電4的速度其實就是USB3.1 Gen2的4倍,也就是10Gbps×4,共計40Gbps,和現有的雷電3接口基本一模一樣。
  • 新思科技攜手三星推出高性能計算設計優化參考方法學
    加利福尼亞州山景城2021年1月11日 /美通社/ -- 要點: 新思科技與三星基於Fusion Design Platform開展合作,充分釋放三星在最先進節點工藝的優勢 經過認證的流程為開發者提供了一整套針對時序和提取的業界領先數字實現和籤核解決方案 新思科技Fusion Design
  • 新思科技與三星開展合作
    ,納斯達克股票代碼:SNPS)近日宣布與三星晶圓廠合作開發、驗證了30 多款全新的可互操作工藝設計套件 (iPDK) ,並可支持新思科技定製設計平臺。這些 iPDK 廣泛覆蓋了三星的先進和傳統節點組合。新思科技定製設計平臺是速度和效率更佳卓越的設計和驗證解決方案,可使版圖速度提高 5 倍、設計收斂速度提高 2 倍,從而為使用各種三星工藝技術的客戶提供最高生產效率。
  • 三星5nm、4nm、3nm工藝:穩了!
    集成電路設計自動化軟體領導企業新思(Synopsys)近日宣布,面向三星7LPP(7nm Low Power Plus)和更先進工藝的良率學習平臺設計取得最大突破,也為三星後續5nm、4nm、3nm工藝的量產和良品率奠定了堅實基礎。
  • 新思科技Fusion Design Platform成為首個獲得三星EUV技術5LPE工藝...
    、得到結果的時間,現在用於三星代工廠(Samsung Foundry)的先進5LPE工藝 新思科技(Synopsys, Inc.)新思科技Fusion Design Platform面向三星5LPE工藝技術進行優化的關鍵工具和功能包括: Fusion Compiler™ RTL-to-GDSII解決方案:高度優化的最新5LPE設計規則全流程支持,提供最佳設計可布線性和收斂以及最短的獲得結果的時間。
  • 新思科技推出綜合電動汽車虛擬原型解決方案
    新思科技(Synopsys)近日宣布推出業界最全面虛擬原型解決方案用於開發電動汽車電子硬體和軟體。這項綜合解決方案採用最佳虛擬原型技術,包括 Virtualizer、Silver、TestWeaver 和 SaberRD,增強了對電動汽車系統開發的特定需求。
  • 臺積電最新5nm+/4nm/3nm進度,超低功耗工藝N12e
    電子發燒友網報導(文/黃晶晶)8月25日,臺積電舉辦了第26屆技術研討會,並分享了其最新的工藝製程情況。在今年實現5nm晶片的量產後,臺積電的5nm+、3nm等也在規劃中,並取得最新進展。N12e,整合3D IC平臺為「3D Fabric」臺積電提供最廣泛的特殊工藝產品組合,包括 MEMS、影像傳感器、嵌入式內存、射頻、模擬、模擬、高壓和功率IC,它們能夠與臺積電的先進邏輯工藝無縫接軌,為客戶提供最佳的系統級解決方案。
  • 新思科技推出RTL Architect,加速設計收斂周期
    ,納斯達克股票代碼:SNPS)近日宣布推出創新產品RTL Architect,該產品可將設計周期在RTL中向左推移(shift left)有助於加快設計收斂周期。RTL Architect是業界首個物理感知RTL設計系統,可將晶片設計周期減半,並提供卓越的結果質量(QoR)。
  • 新思科技最新版Design Compiler NXT正式發布
    -早期採用者實現運行速度加倍、結果質量改善10%並與IC Compiler II緊密相關加州山景城2019年4月9日  --重點:新思科技Design Compiler NXT採用創新且高效的優化引擎,提高運行速度並改善功耗和時序方面的設計實現質量支持先進工藝節點,包括通用庫以及與