數字電路實驗15——環形計數器

2021-01-13 電路城

設計一個4位右循環一個1的環形計數器。

將移位寄存器的輸出q0連接到觸發器q3的輸入,並且在這4個觸發器中只有一個輸出為1,另外3個為0,這樣就構成了一個環形計數器。初始化復位時,給q0一個置位信號,則唯一的1將在環形計數器中循環移位,每4個時鐘同期輸出一個高電平脈衝。

用行為級描述帶有復位的移位寄存器

用於Diamond仿真的程序清單 ring.v

module ring #
(parameter  CNT_SIZE = 8)
(input wire clk,rst,           //時鐘和復位輸入
output reg [CNT_SIZE-1:0] cnt //計數器輸出);
always@(posedge clk)    
   if(!rst)    cnt <= 8'b0000_0001;       //復位初值    
   else    cnt <= {cnt[0],cnt[CNT_SIZE-1:1]}; //右移循環計數
endmodule

仿真文件ring_tb.v

`timescale 1ns/100ps    //仿真時間單位/時間精度
module ring_tb;
reg clk,rst;
wire [7:0]    q;
initial begin clk =0; rst =0; #20 rst =1; end
always#10 clk =~clk;
ring u1 (   .clk    (clk),   .rst    (rst),   .cnt    (q)    );
endmodule


打開Lattice Diamond,建立工程。

新建Verilog HDL設計文件,並鍵入設計代碼。

根據仿真教程,實現對本工程的仿真,驗證仿真結果是否與預期相符。


操作視頻:


趕快掃碼關注我吧👇~~小編會定期分享基於FPGA的設計貼,千萬不要錯過哦!


更多產品信息~~~歡迎關注下面小程序👇


相關焦點

  • 白熾燈環形計數器電路圖
    白熾燈環形計數器電路圖
  • 數字電路:計數器
    計數器就是實現這種運算的邏輯電路,計數器在數字系統中主要是對脈衝的個數進行計數,以實現測量、計數和控制的功能,同時兼有分頻功能,計數器是由基本的計數單元和一些控制門所組成,計數單元則由一系列具有存儲信息功能的各類觸發器構成,這些觸發器有RS觸發器、T觸發器、D觸發器及JK觸發器等。
  • 74ls194實現環形計數器
    扭環形計數器是滿足f(Q0,Q1,…,Qn-Q)=Qn-1的移位型計數器,圖1為4位扭環形計數器的有效狀態轉換圖.   用移位寄存器74LS194構成扭環形計數器,狀態變化在右移移位或並行輸入符合右移規律的數碼基礎上進行,對數據右移串行輸入端或數據並行輸入端的激勵函數進行自啟動設計。
  • 基於74LS161的扭環形計數器自啟動設計
    分析了扭環形計數器工作時的狀態轉換過程和MSI可編程計數器74LS161的邏輯功能,提出了採用74LS161構成扭環形計數器一些新的設計方案及幾種邏輯修改方法。 1、基本原理 4位MSI可編程同步二進位加法計數器74LS161的真值表如表1所示。
  • 基於計數器的數字電子鐘的設計
    摘要:本設計是一個基於計數器的數字電子鐘裝置。該裝置電路的主要組成部分是555定時器、分頻器、計數器、解碼器、顯示器、校時電路。解碼代替機械式傳動。用LED顯示器代替指針顯示進而顯示時間,減小了計時誤差。
  • 三態門總線傳輸電路的Multisim仿真方案
    用Multisim仿真軟體進行三態總線電路工作過程波形仿真分析,用環形計數器做實驗中的信號源產生所需的各個控制信號、用脈衝信號源產生各數據輸入信號,用邏輯分析儀多蹤同步顯示各個三態門的控制信號、數據輸入信號及總線輸出信號波形,可直觀形象地描述三態門總線傳輸電路的工作特性。
  • 移位寄存器74ls194應用電路圖大全(雙向移位寄存器/74HC93/環形...
    打開APP 移位寄存器74ls194應用電路圖大全(雙向移位寄存器/74HC93/環形計數器) 發表於 2018-05-09 10:10:16
  • 電子計數器電路圖_電子計數器接線方法
    打開APP 電子計數器電路圖_電子計數器接線方法 發表於 2019-09-26 10:06:37   電子計數器電路圖   該計數器可將機械或人工計數方式變為電子計數,並且採用LED數碼顯示,簡單直觀,可適用於諸多行業,以滿足現代生產、生活方式的需求。
  • 74LS161集成計數器電路(2、3、4、6、8、10、60進位計數器)
    7腳和10腳分別為計數控制端EP和ET,當其中有一腳為低電平時計數器保持狀態不變,當均為高電平時為計數狀態。9腳為同步並行置數控制端/LD,低電平有效。11~14腳為數據輸出端QQ30~。15腳為進位輸出端RCO,高電平有效。74LS161可編程度數器的真值表如下。 表  74LS161可編程度數器的真值表
  • 計數器74LS161的Multisim仿真
    用Muhisim仿真軟體虛擬儀器中的字組產生器做信號源產生所需的時鐘脈衝、控制信號,用邏輯分析儀顯示時鐘脈衝、控制信號及狀態輸出信號的波形,通過仿真實驗可直觀描述計數器的工作過程。 1、Multisim仿真實驗方法 Muhisim仿真實驗方法如下: (1)創建電路 確定字組產生器產生74LS161計數器所需的時鐘脈衝、控制信號,邏輯分析儀所顯示的時鐘脈衝、控制信號及狀態輸出信號。
  • 機械計數器結構及原理圖
    打開APP 機械計數器結構及原理圖 發表於 2018-02-24 15:31:08 計數是一種最簡單基本的運算,計數器就是實現這種運算的邏輯電路,計數器在數字系統中主要是對脈衝的個數進行計數,以實現測量、計數和控制的功能,同時兼有分頻功能,計數器是由基本的計數單元和一些控制門所組成,計數單元則由一系列具有存儲信息功能的各類觸發器構成,這些觸發器有RS觸發器、T觸發器、D觸發器及JK觸發器等。
  • 脈衝選擇數字電路
    當欲取得某個數目的脈衝(例如欲取得4個脈衝)時,可按下開關S1,由於計數器的各個輸出端(Q0~Q9)均為低電平,S1的閉合將U2A的輸入端拉向低電平,它的輸出端變為高電平,脈衝振蕩器起振輸出脈衝,這些脈衝除了通過U2D向外輸出外,還直接加至計數器的下降沿計數輸入端!EN端(EN的取反),使計數器4017輸出脈衝計數,它的輸出端依次輸出高電平。
  • 帶有RC的非門環形振蕩器電路
    帶有RC的非門環形振蕩器實驗電路圖中為帶有RC延遲電路的非門環形自激多諧振蕩器實驗電路。振蕩電路由非門IC1、IC2、IC3及定時電路元件RP、C等組成,由IC3輸出矩形波信號。R1為保護電阻器,避免定時電容器c反向放電時有可能造成IC3門電路損壞。非門IC4使振蕩器輸出波形較好的矩形波。
  • 電子計數器的使用_電子計數器功能
    電子計數器的使用   ①當給該儀器通電後,應預熱一定的時間,晶振頻率的穩定度才可達到規定的指標,對E312A型通用電子計數器預熱約2h。   ④數字式測量儀器在測量的過程中,由於閘門的打開時刻與送入的第-個計數脈衝在時間的對應關係上是隨機的,所以測量結果中不可避免地存在著±1個字的測量誤差,現象是顯示的最末一位數字有跳動。為使它的影響相對減小,對於各種測量功能,都應力爭使測量數據有較多的有效數字位數。適當地選擇閘門時間或周期倍乘率即可達到此目的。
  • 電子電路圖分享-60進位計數器電路圖
    打開APP 電子電路圖分享-60進位計數器電路圖 發表於 2018-01-02 14:25:33 用兩個74LS160 級聯構成
  • 74ls160構成24進位計數器
    打開APP 74ls160構成24進位計數器 發表於 2018-01-18 15:43:05 2、秒計數脈衝 555定時器是一種模擬電路和數字電路相結合的中規模集成器件,它性能優良,適用範圍很廣,外部加接少量的阻容元件可以很方便地組成單穩態觸發器和多諧振蕩器,以及不需外接元件就可組成施密特觸發器。該電路有一個秒脈衝控制端,用於開啟及停止脈衝輸入。
  • 開關電源數模混合測控系統硬體電路設計與實驗
    閉環系統包括電壓環與限流環,電壓環使輸出穩定在設定值上,限流環解決了負載突變問題,調節器和保護電路的設計使系統穩定且安全運行,單片機完成了系統故障的實時監測、顯示與保護。最後研製了一套輸出為270V的直流開關電源測控系統,實驗結果良好。
  • 數字電位器X9312在功率調節電路中的應用
    在輸入端由高變低時將增加或減少(這取決於輸入端的狀態)7位計數器的值,計數器的輸出被解碼,進行一百選一的操作,使滑動端的位置沿電阻數組移動。計數器的值不會從全0跳至全1,也不會從全1跳至全0,因此滑動端到達電阻數組的一個極端時不會跳至另一極端而循環往復。滑動端的位置可以被貯存到一個非易失性存貯器中,因而在下一次上電工作時可以重新調用。X9312的解析度等於最大的電阻值除以99。
  • 環形調製器電路
    環形調製器電路
  • 一種數字控制的三相移相觸發電路的設計
    移相控制信號由外部電壓輸入提供,移相控制電壓經9 bit A/D轉換器轉換,作為移相電路中計數器的初始值,當計數器計數滿時,產生一個移相脈衝,該移相脈衝再次觸發脈寬發生電路,產生所需的脈寬信號,經調製後輸出。該電路框圖如圖1所示。表l給出了各引腳功能說明。